Tool Compatibility

This section presents an overview of known issues with different simulators.

GHDL

  • AXI-Stream: GHDL crashes due to a memory allocation error when instantiating an AXI-Stream VVC.

Detected in version

Fixed in

Notes

GHDL 5.0.0-dev (4.0.0.r24.g14b411c57)
UVVM v2 2024.03.08

Workaround in UVVM v2 2024.07.03

Modelsim / Questa

  • Functional Coverage: Using sample_coverage() with transition bins can cause a false match.

Detected in version

Fixed in

Notes

Modelsim PE 2023.1

Modelsim PE 2024.2

Workaround in UVVM v2 2024.03.08

  • Questa Sim version 19.2 and Modelsim version 19.2 have known bugs that might prevent UVVM from working properly.

Riviera-PRO

  • .